Methods and systems for lithography process control

Radiation imagery chemistry: process – composition – or product th – Including control feature responsive to a test or measurement

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

06689519

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention generally relates to systems and methods for evaluating and controlling semiconductor fabrication processes. Certain embodiments relate to systems and methods for evaluating and/or controlling a lithography process by measuring a property of a resist and controlling a process step involved in the lithography process.
2. Description of the Related Art
Semiconductor fabrication processes typically involve a number of lithography steps to form various features and multiple levels of a semiconductor device. Lithography involves transferring a pattern to a resist formed on a semiconductor substrate, which may be commonly referred to as a wafer. A reticle, or a mask, may be disposed above the resist and may have substantially transparent regions and substantially opaque regions configured in a pattern that may transferred to the resist. As such, substantially opaque regions of the reticle may protect underlying regions of the resist from exposure to an energy source. The resist may, therefore, be patterned by selectively exposing regions of the resist to an energy source such as ultraviolet light, a beam of electrons, or an x-ray source. The patterned resist may then be used to mask underlying layers in subsequent semiconductor fabrication processes such as ion implantation and etch. Therefore, a resist may substantially inhibit an underlying layer such as a dielectric material or the semiconductor substrate from implantation of ions or removal by etch.
As the features sizes of semiconductor devices continue to shrink, the minimum feature size which may be successfully fabricated may often be limited by performance characteristics of a lithography process. Examples of performance characteristics of a lithography process include, but are not limited to, resolution capability, across chip linewidth variations, and across wafer linewidth variations. In optical lithography, performance characteristics such as resolution capability of the lithography process may often be limited by the quality of the resist application, the performance of the resist, the exposure tool, and the wavelength of light which is used to expose the resist. The ability to resolve a minimum feature size, however, may also be strongly dependent on other critical parameters of the lithography process such as a temperature of a post exposure bake process or an exposure dose of an exposure process. As such, controlling the critical parameters of lithography processes is becoming increasingly important to the successful fabrication of semiconductor devices.
One strategy to improve the performance characteristics of a lithography process may involve controlling and reducing variations in critical parameters of the lithography process. For example, one critical parameter in a lithography process may be the post exposure bake temperature. In particular, a chemical reaction in an exposed portion of a chemically amplified resist may be driven and controlled by heating the resist subsequent to the exposure process. Such a resist may include, but may not be limited to, a resin and a photo-acid generating compound. The temperature of a post exposure bake process may drive generation and diffusion of a photo-generated acid in the resist that causes deblocking of the resin. Deblocking of the resin may substantially alter the solubility of the resist such that it may be removed by exposure to an aqueous developer solution in a subsequent developing process. As such, temperature-controlled diffusion in the exposed resist may affect physical dimensions of remaining resist, or resolved features. Furthermore, variations in temperature across a bake plate of a post exposure bake process module may cause variations in the dimensions of the features at various positions on a wafer. Therefore, the resolution capability of a lithography process may be improved by reducing temperature variations across the bake plate of a post exposure bake process module.
There are several disadvantages, however, in using currently available methods to improve the resolution capability of lithography processes. For example, currently available methods may not account for degradation in the uniformity of a critical parameter over time. For a post exposure bake module, thermal relaxation of heating elements, contamination, or other performance variations may adversely affect the resolution capability of a lithography process to various degrees over time. As such, monitoring and controlling time-dependent variations in the critical parameters may maintain and improve the performance characteristics of a lithography process. In addition, integrated control mechanisms that may currently be used to monitor variations in the temperature of the post exposure bake module may control and alter the process at the wafer level. Therefore, all positions, or fields, on the wafer are affected equally and improvements are made for an average performance across the wafer. In this manner, systematic variations in the resolution capability from field to field across a wafer may not be monitored or altered, which may have an adverse affect on the overall performance characteristics of a lithography process.
Accordingly, it may be advantageous to develop a method and a system to evaluate and control a lithography process such that within wafer variability of critical dimensions of features formed by a lithography process may be reduced.
SUMMARY OF THE INVENTION
An embodiment of the invention relates to a method for reducing within wafer (“WIW”) variation of a critical metric of a lithography process. A critical metric of a lithography process may include, but is not limited to, a critical dimension of features formed during the lithography process and overlay. Critical dimensions of features formed during a lithography process may include, for example, a width, a height, and a sidewall profile of the features. Overlay generally refers to a lateral position of a feature on one level of a wafer with respect to a lateral position of a feature on another level of the wafer. The lithography process may include optical lithography, e-beam lithography, or x-ray lithography.
The method may include measuring at least one property of a resist disposed upon a wafer during the lithography process. For example, the method may include measuring at least the one property of the resist at various locations across the wafer. In addition, the method may include measuring at least the one property of the resist between steps of the lithography process or during a step of the lithography process. Furthermore, the method may include measuring at least one property of a resist disposed upon at least two wafers during the lithography process. At least the one property may include, but may not be limited to, a thickness, an index of refraction, an extinction coefficient, a linewidth of a latent image, a height of a latent image, a width of a feature, a height of a feature, overlay, or any combination thereof. A latent image generally refers to an image that may be formed in an exposed resist subsequent to a post exposure bake process.
The method may further include altering at least one parameter of a process module, configured to perform a step of the lithography process, in response to at least the one measured property of the resist. In this manner, within wafer variation of a critical metric may be reduced. The process module may include, but may not be limited to, a surface preparation module, a coat module, a bake module, an expose module, or a develop module. In addition, if at least one property of a resist disposed upon at least two wafers is measured, then the method may include altering at least one parameter of a process module in response to at least the one measured property of the resist disposed upon at least the two wafers. At least the one parameter may be altered using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof.
Altering at least the one

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Methods and systems for lithography process control does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Methods and systems for lithography process control, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Methods and systems for lithography process control will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3348214

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.