Methods and arrangements for determining an endpoint for an...

Adhesive bonding and miscellaneous chemical manufacture – Differential fluid etching apparatus – With microwave gas energizing means

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C204S192330, C356S425000

Reexamination Certificate

active

06358362

ABSTRACT:

TECHNICAL FIELD
The present invention relates to semiconductor devices and manufacturing processes, and more particularly to methods and arrangements for providing local interconnections between two or more regions within a semiconductor device.
BACKGROUND ART
A continuing trend in semiconductor technology is to build integrated circuits with more and/or faster semiconductor devices. The drive toward this ultra large-scale integration (ULSI) has resulted in continued shrinking of device and circuit features. To take advantage of increasing number of devices and to form them into one or more circuits, the various devices need to be interconnected.
To accomplish interconnection on such a small scale, a local interconnect is typically used within an integrated circuit to provide an electrical connection between two or more conducting or semi-conducting regions (e.g., active regions of one or more devices). For example, a plurality of transistors can be connected to form an inverting logical circuit using a local interconnect.
The local interconnect is typically a relatively low-resistance material, such as a conductor or doped semiconductor, that is formed to electrically couple the selected regions. For example, in certain arrangements, damascene techniques are used to provide local interconnects made of tungsten (W), or a like conductor, which is deposited within an etched opening, such as via or trench that connects the selected regions together. The use of local interconnects reduces the coupling burden on the subsequently formed higher layers to provide such connectivity, which reduces the overall circuit size and as such tends to increase the circuit's performance.
The formation of the etched openings, in which the local interconnects are formed, requires precise process controls in order to avoid over-etching and/or under-etching within the various layers of the semiconductor wafer. There are at least two conventional methods for determining when to terminate an etching process. One method requires collecting experimental data from test wafers to determine an optimal endpoint. Once the optimal endpoint is determined, then the etching process for subsequent wafers is simply timed to terminate at the specified endpoint. For example, the etching process may be conducted for 20 seconds. However, this timed method is subject to failure due to minor differences in the wafers, the etching tools and/or the etching process parameters.
Another conventional method for determining the endpoint involves monitoring an optical emission from the etching process. This method allows for real-time feedback during the etching process based on differences in the wafer's materials and the reaction between these materials and the etching plasma. By monitoring a specific wavelength from the optical emissions, it is possible to detect transition points wherein the etching plasma begins to react with different materials. This method usually provides better process control when compared to the timed method. However, in order to take advantage of this optical emission monitoring method, a particular wavelength needs to be identified for the particular wafer structure and/or the etching plasma. Thus, for example, an ideal wavelength would identify when a transition from one material/reaction to another has occurred, or is occurring. Finding such wavelengths, if they exist, is not a trivial task. Assuming a wavelength is found to be emitted during the etching process, it can also be difficult to sense the wavelength and to isolate the wavelength from the optical noise within the etching tool. Thus, false readings of this wavelength can lead to incorrect endpoint determination and therefore under-etching or over-etching of the wafer.
Accordingly, there is a continuing need for more efficient and effective processes for forming local interconnects using damascene techniques. In particular, there is a need or more precise etching process controls for determining the endpoint in forming etched openings using optical emission monitoring methods.
SUMMARY OF THE INVENTION
The methods and arrangements of the present invention provide real-time feedback as to the progress of the local interconnect etching processes using optical emission methods and monitoring arrangements to provide for a more precise determination of one or more endpoints during one or more etching processes thereby preventing under-etching and/or over-etching of the local interconnect etched openings in the semiconductor wafer.
Thus, in accordance with one embodiment of the present invention, there is provided a method for determining at least one endpoint in an etching process. The method includes measuring the intensity of optical emissions having a first wavelength that are produced when a semiconductor wafer is placed in a plasma chamber and subjected to an etching process. The method further includes measuring the intensity of optical emissions having a second different wavelength during the etching process. In accordance with the method, an endpoint for the etching process is determined based on one or more of these measured intensities.
In accordance with certain embodiments of the present invention, the method also includes starting a subsequent etching process in the plasma chamber (i.e., in-situ) after the endpoint, as determined, for the previous etching process has been reached. Thus, in this embodiment, the method includes continued measuring of the intensity of optical emissions of the first and second wavelengths during the subsequent etching process and of the second wavelength during the second etching process, and determining an endpoint for the second etching process based on these measured intensities. In accordance with certain embodiments of the present invention, the first wavelength is either approximately 386 nm or 520 nm and the second wavelength is approximately 439 nm.
The endpoint for either of the etching processes is, in accordance with an embodiment of the present invention, determined by combining the measured intensities to produce a ratio signal that has an improved signal-to-noise ratio over just a single measured intensity signal. The ratio signal is then compared to one or more threshold values/functions or other criteria to determine when to stop the current etching process.
The above stated needs and others are further met by a method for controlling an in-situ local interconnect etching of a semiconductor wafer, in accordance with another embodiment of the present invention. This method includes etching a semiconductor wafer in a plasma chamber with a first etching process, and monitoring the optical emissions from the plasma chamber to determine the intensity of at least two wavelengths of the optical emissions. The method includes stopping the etching with the first etching process based on the intensity of at least one of the two intensities. Next, the method includes etching the semiconductor wafer in the plasma chamber with a second etching process, and stopping the etching with the second etching process based on the intensity of at least one of the two wavelengths.
An arrangement, is provided in accordance with the present invention, for determining at least one endpoint in an etching process within a plasma etching chamber. The arrangement includes a sensor which is configured to measure the intensity of optical emissions of a first wavelength and a second wavelength as emitted from within the plasma chamber during a first etching process of a semiconductor wafer. The arrangement also includes a controller which is coupled to the sensor and configured to determine an endpoint for the first etching process based on at least one of the two measured intensities.
The foregoing and other features, aspects and advantages of the present invention will become more apparent from the following detailed description of the present invention when taken in conjunction with the accompanying drawings.


REFERENCES:
patent: 4289188 (1981-09-01), Mizutani et al.
patent: 5620556 (1981-09-01), Henck
pa

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Methods and arrangements for determining an endpoint for an... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Methods and arrangements for determining an endpoint for an..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Methods and arrangements for determining an endpoint for an... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2853614

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.