Methods and apparatus for cleaning and/or treating a...

Cleaning and liquid contact with solids – Apparatus – With heating – cooling or heat exchange means

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C134S108000, C134S109000, C134S111000, C134S902000

Reexamination Certificate

active

06782900

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates to methods and apparatus for cleaning and/or treating substrates and, more particularly, to methods and apparatus for cleaning and/or treating substrates using CO
2
.
BACKGROUND OF THE INVENTION
Integrated circuits (ICs), optoelectronic devices, micromechanical devices and other precision fabrications are commonly formed using thin films applied to substrates. As part of the fabrication process, it is often necessary to remove or clean a portion or all of the thin film from the substrate. For example, in the manufacture of semiconductor wafers including ICs, a thin photoresist layer may be applied to the semiconductor substrate and subsequently removed.
Contaminants removed from surface features of microelectronic substrates after various manufacturing steps (e.g., after post-ion implant, ‘back end of the line’ (BEOL) cleans, ‘front end of the line’ (FEOL) cleans, and post chemical mechanical planarization (CMP) steps) vary in nature and composition dramatically. Accordingly, cleaning and treating steps must address these contaminants with the appropriate chemistries and solvents to either react with, ionize, dissolve, swell, disperse, emulsify, or vaporize them from the substrate. As such, a variety of water and solvent-based systems, and dry cleaning processes have been developed to address the broad variety of waste materials.
SUMMARY OF THE INVENTION
According to method embodiments of the present invention, a method for cleaning a microelectronic substrate includes placing the substrate in a pressure chamber. A process fluid including dense phase CO
2
is circulated through the chamber such that the process fluid contacts the substrate. The phase of the CO
2
is cyclically modulated during at least a portion of the step of circulating the process fluid.
According to further method embodiments of the present invention, a method for cleaning a microelectronic substrate includes placing the substrate in a pressure chamber. A process fluid including dense phase CO
2
is sprayed onto the substrate in a chamber. The phase of the CO
2
is cyclically modulated during at least a portion of the step of spraying the process fluid.
According to further method embodiments of the present invention, a method for cleaning a microelectronic substrate includes providing the substrate in a pressure chamber containing a process fluid including dense phase CO
2
such that the substrate is exposed to the CO
2
. The phase of the CO
2
is cyclically modulated by alternating CO
2
mass flow between a supply of CO
2
and the chamber and between the chamber and a low pressure source. The supply of CO
2
is at a higher pressure than the chamber and the low pressure source is at a lower pressure than the chamber.
According to further method embodiments of the present invention, a method for cleaning a microelectronic substrate includes placing the substrate in a pressure chamber. A process fluid including dense phase CO
2
is introduced into the chamber such that the process fluid contacts the substrate to thereby clean the substrate. A portion of the process fluid is removed from the chamber. The portion of the process fluid is re-introduced into the chamber.
According to further method embodiments of the present invention, a method for cleaning a microelectronic substrate includes placing the substrate in a pressure chamber. A process fluid including dense phase CO
2
is introduced into the chamber such that the process fluid contacts the substrate to thereby clean the substrate. A portion of the process fluid is removed from the chamber. The portion of the process fluid removed from the chamber is distilled to separate CO
2
from other components of the process fluid. The separated CO
2
is re-introduced into the chamber.
According to further method embodiments of the present invention, a method for cleaning a microelectronic substrate includes cleaning a substrate in a process chamber using a process fluid including CO
2
. The used process fluid is removed from the process chamber. CO
2
is separated from the used process fluid. The separated CO
2
is reused in the process chamber or a further process chamber.
According to embodiments of the present invention, an apparatus for cleaning a microelectronic substrate includes a pressure chamber and means for circulating a process fluid including dense phase CO
2
through the chamber such that the process fluid contacts the substrate. The apparatus further includes means for modulating the phase of the CO
2
while the process fluid is being circulated.
According to further embodiments of the present invention, an apparatus for cleaning a microelectronic substrate using a process fluid including dense phase CO
2
includes a pressure chamber. A spray member is operative to spray the process fluid onto the substrate in the chamber. The apparatus further includes means for cyclically modulating the phase of the CO
2
.
According to embodiments of the present invention, an apparatus for cleaning a microelectronic substrate includes a pressure chamber containing a process fluid including dense phase CO
2
. A supply of CO
2
is fluidly connectable to the chamber. The supply of CO
2
is at a higher pressure than the chamber. A low pressure source is fluidly connectable to the chamber. The low pressure source is at a lower pressure than the chamber. Fluid control devices are operable to cyclically modulate the phase of the CO
2
in the chamber by alternating CO
2
mass flow between the supply of CO
2
and the chamber and between the chamber and the low pressure source.
According to embodiments of the present invention, an apparatus for cleaning a microelectronic substrate includes a pressure chamber and a supply of a process fluid including dense phase CO
2
fluidly connected to the chamber. A distilling system includes a still fluidly connected to the chamber and operative to separate CO
2
from the process fluid. The distilling system is operative to re-introduce the separated CO
2
into the chamber or a further chamber.
According to embodiments of the present invention, an apparatus for cleaning a microelectronic substrate includes a process chamber containing a process fluid including CO
2
and means for removing used process fluid from the process chamber. The apparatus further includes means for separating CO
2
from the used process fluid and means for returning the separated CO
2
to the process chamber or a further process chamber for subsequent use.
According to embodiments of the present invention, a process chamber assembly for use with a substrate includes a vessel and a substrate holder. The vessel defines a chamber. The substrate holder has a rotational axis and includes front and rear opposed surfaces. The front surface is adapted to support the substrate. At least one impeller vane extends rearwardly from the rear surface and radially with respect to the rotational axis. The impeller vane is operative to generate a pressure differential tending to hold the substrate to the substrate holder when the substrate holder is rotated about the rotational axis. Preferably, the process chamber assembly includes a plurality of the impeller vanes extending rearwardly from the rear surface and radially with respect to the rotational axis.
According to further embodiments of the present invention, a substrate holder for use with a substrate has a rotational axis and further includes front and rear opposed surfaces. The front surface is adapted to support the substrate. At least one impeller vane extends rearwardly from the rear surface and radially with respect to the rotational axis. The impeller vane is operative to generate a pressure differential tending to hold the substrate to the substrate holder when the substrate holder is rotated about the rotational axis. Preferably, the substrate holder includes a plurality of the impeller vanes extending rearwardly from the rear surface and radially with respect to the rotational axis.
According to method embodiments of the present invention, a method for rota

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Methods and apparatus for cleaning and/or treating a... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Methods and apparatus for cleaning and/or treating a..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Methods and apparatus for cleaning and/or treating a... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3324692

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.