Method of manufacturing electronic device

Semiconductor device manufacturing: process – Chemical etching – Altering etchability of substrate region by compositional or...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S723000, C438S725000, C134S001200

Reexamination Certificate

active

06750149

ABSTRACT:

BACKGROUND OF THE INVENTION
The present invention relates to a method of manufacturing an electronic device including a semiconductor device.
In accordance with recent high integration of electronic devices including semiconductor devices, patterns used for the manufacture of the devices have become more and more refined. For example, in a process for patterning a gate electrode of a MOS transistor used in a dynamic random access memory (DRAM), a microcomputer or the like from a polysilicon film, a gate processing technique using a hard mask such as a silicon nitride film has been put in practical use. In photolithography preceding this gate processing, in order to further refine a resist mask, a resist film to be used has become thinner and thinner so as to improve the resolution of the resist mask and increase the depth of focus.
In this case, in dry etching for forming a gate electrode by patterning a polysilicon film, a WSi polycide film and a polymetallic film, a resist film serving as an etching mask is partially etched. Therefore, the thickness of the resist film is decreased (or the resist film is removed in some cases), resulting in degrading the patterning accuracy. As a technique to overcome this problem, a hard mask of a nitride or oxide film having high selectivity against polysilicon, WSi polycide and polymetal is formed on the polysilicon film, the WSi polycide film and the polymetallic film. Specifically, not only the resist film but also the hard mask are used as a mask in the patterning, so that the problem derived from the thickness decrease of the resist film can be solved.
As another example of the formation of a surface protecting film as described above, a process for forming a SAC (self align contact) will be described. In the SAC process, there is no need to align a mask for a gate electrode against a mask for a contact but it is necessary to definitely prevent contact between the gate electrode and the contact. Therefore, a surface protecting film (hard mask) of a silicon nitride film is formed on the gate electrode, so that the upper surface of the gate electrode can be definitely prevented from coming in contact with the contact. When the surface protecting film is to be formed on the gate electrode in this manner, conductive films for the gate electrode (such as a polysilicon film, a polycide film and a polymetallic film) and a silicon nitride film are deposited, and thereafter, a resist mask formed thereon is used in successively etching the silicon nitride film, the polysilicon film and the like.
The etching carried out in this case will now be described. FIGS.
22
(
a
) through
22
(
c
) are sectional views for showing conventional procedures for successively etching the nitride film and the polysilicon film.
In the procedure shown in FIG.
22
(
a
), a gate oxide film
102
with a thickness of 10 nm and a polysilicon film
103
with a thickness of 250 nm are successively formed on a silicon substrate
101
, and a silicon nitride film
104
with a thickness of 150 nm is deposited on the polysilicon film
103
. Thereafter, the silicon nitride film
104
is coated with a chemically amplified resist, which is formed into a resist mask
105
by photolithography using KrF excimer laser.
Next, in the procedure shown in FIG.
22
(
b
), the resist mask
105
is used as an etching mask for etching the silicon nitride film
104
, thereby forming a surface protecting film
106
.
Then, in the procedure shown in FIG.
22
(
c
), without removing the resist mask
105
, the underlying polysilicon film
103
is etched, so as to form a polysilicon pattern
108
functioning as a gate electrode above an active area. At this point, as is shown in FIG.
22
(
c
), the resist mask
105
is etched to some extent and the lateral dimension and shape thereof are spoiled, but the surface protecting film
106
of the silicon nitride film having high etching selectivity against polysilicon is scarcely etched. In other words, the polysilicon pattern
108
is formed by using the resist mask
105
and the surface protecting film
106
as the mask.
Also, in a process for forming a metallic line by patterning a metallic film, the metallic film for the line is conventionally patterned by using a hard mask for metal in some cases.
FIGS.
23
(
a
) through
23
(
d
) are sectional views for showing the conventional procedures for forming a metallic line layer.
First, in the procedure shown in FIG.
23
(
a
), a TiN film
112
with a thickness of 50 nm, an aluminum film
113
with a thickness of 0.45 &mgr;m and a TiN film
114
with a thickness of 30 nm are successively deposited by reactive sputtering and general sputtering on a silicon oxide film
111
(such as an interlayer insulating film or an isolation insulating film) formed on a substrate. On the TiN film
114
, a silicon oxide film
115
with a thickness of 150 nm is deposited by plasma CVD.
Thereafter, the silicon oxide film
115
is coated with a chemically amplified resist so as to form a resist film, which is formed into a resist mask
116
with a thickness of 0.7 &mgr;m by the lithography using KrF excimer laser.
Then, in the procedure shown in FIG.
23
(
b
), the silicon oxide film
115
is dry etched by using a dry etcher with the resist mask
116
used as an etching mask. Thus, a hard mask
117
for metal is formed. At this point, the TiN film
114
is also partially etched through over-etching.
Next, in the procedure shown in FIG.
23
(
c
), the resist film
116
is removed through ashing and cleaning. The ashing is conducted by a down stream method using microwaves, and an aqueous solution of ammonium fluoride is used as the cleaning solution.
Thereafter, in the procedure shown in FIG.
23
(
d
), by using the hard mask
117
for metal as an etching mask, the underlying metallic films (the stacked films including the TiN film
114
, the aluminum film
113
and the TiN film
112
) are etched by using a metal dry etcher, thereby forming a metallic pattern (metallic line)
119
.
However, since the silicon nitride film and the underlaying polysilicon film and the like are successively etched as described above referring to FIGS.
22
(
a
) through
22
(
c
), the dimension of the polysilicon pattern
108
formed by dry etching the polysilicon film and the like can be much larger than the dimension of the surface protecting film
106
, and the shape of the polysilicon pattern
108
can be spoiled (which are designated as pattern defects).
The present inventors studied the cause of these defects, resulting in finding the following: After etching the silicon nitride film
104
in the procedure shown in FIG.
22
(
b
), a small deposition
107
with a size of 0.03 &mgr;m or less is grown as a contaminant in the vicinity of the interface between the resist mask
105
and the surface protecting film
106
. This deposition
107
works as an etching mask for the polysilicon film
103
, resulting in causing a pattern defect that the dimension of the polysilicon pattern
108
partly deviates from the designed dimension. It was found that such phenomenon occurs not only when a polysilicon film is formed below a silicon nitride film but also a W (tungsten) film, a silicon oxide film, a WSi (tungsten silicide) film, a silicon oxinitride film or the like is formed below.
When the polysilicon film
103
formed below the silicon nitride film
104
is not continuously etched after etching the silicon nitride film
104
, the deposition
107
formed on the side of the surface protecting film
106
can be easily removed by ashing, or cleaning the wafer with sulfuric acid-hydrogen peroxide (an aqueous solution of sulfuric acid and hydrogen peroxide) or ammonia-hydrogen peroxide (an aqueous solution of ammonia and hydrogen peroxide). However, the resist mask
105
serving as the etching mask is also removed through this process. When the polysilicon film
103
is etched by using the surface protecting film
106
alone as the mask with the resist mask
105
removed, the surface protecting film
106
cannot be prevented from being etched at all but c

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method of manufacturing electronic device does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method of manufacturing electronic device, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method of manufacturing electronic device will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3363276

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.