Method for forming SIO2 by chemical vapor deposition at room...

Coating processes – Coating by vapor – gas – or smoke – Base includes an inorganic compound containing silicon or...

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C427S255180, C427S255170, C427S255370, C427S255700

Reexamination Certificate

active

06818250

ABSTRACT:

BACKGROUND OF THE INVENTION
Chemical vapor deposition (CVD) is one of the most widely practiced thin film deposition techniques. Advances in CVD technology have fueled the deployment of many new technologies, including silicon microelectronic processing. One of the key goals for the fabrication of future silicon devices is lower deposition temperatures. These low growth temperatures will limit interlayer and dopant diffusion and facilitate the use of temperature sensitive substances such as polymers or biological materials.
The chemical vapor deposition of SiO
2
is ubiquitous in silicon device fabrication. SiO
2
CVD films compete effectively with thermal SiO
2
that is formed by the reaction of oxygen with the silicon substrate at 900-1200 K. SiO
2
CVD is performed at various temperatures that can be significantly lower than the required temperatures for thermal SiO
2
growth. At high temperatures of ~1200 K, excellent SiO
2
films with properties close to thermal SiO
2
can be grown using the reaction SiH
2
Cl
2
+2NO→SiO
2
+2N
2
+2HCl. At medium temperatures of ~900-1000 K, very reasonable SiO
2
dielectric films are deposited using tetraethyl orthosilicate (TEOS) decomposition. Several earlier investigations have reported the kinetics of SiO
2
CVD using SiCl
4
and H
2
O. These studies observed efficient SiO
2
CVD only at temperatures greater than 900 K. At fairly low temperatures of ~500-700 K, SiO
2
films with a lower density than thermal SiO
2
can be deposited using the reaction SiH
4
+O
2
→SiO
2
+2H
2
O.
SiO
2
deposition at temperatures as low as room temperature has been the focus of recent research. Plasma processing is often used to lower film deposition temperatures. However, the drawbacks to plasma processing are particle contamination, surface damage from the energetic plasma species and high interface defect density. The use of novel molecular precursors has also been explored for low temperature SiO
2
growth. However, these precursors are usually expensive, and the SiO
2
films deposited with these precursors have not been device quality.
Amine catalysts have been used for the attachment of chlorosilanes and organosilanes to silica surfaces. See C. P. Tripp and M. L. Hair, J. Phys. Chem. 97, 5693 (1993) and J. P. Blitz et al., J. Amer. Chem. Soc. 109, 7141 (1987). The use of a catalyst has also recently been reported for SiO
2
atomic layer deposition (ALD) using sequential surface reactions. These SiO
2
ALD investigations used either pyridine (C
5
H
5
N) or ammonia (NH
3
) as the catalyst during sequential exposures to SiCl
4
and H
2
O. See J. W. Klaus, O. Sneh, A. W. Ott and S. M. George, Surface Review & Letters 6, 435 (1999) and J. W. Klaus, O. Sneh, A. W. Ott and S. M. George, Science 278, 1934 (1997). These previous studies demonstrated that Lewis base molecules can catalyze SiO
2
ALD at room temperature. Recent ab initio theoretical calculations have confirmed the catalytic effect of NH
3 on
the SiCl
4
and H
2
O half-reactions occurring during SiO
2
ALD. Y. Okamoto, J. Phys. Chem. B 103, 11074 (1999).


REFERENCES:
patent: 6090442 (2000-07-01), Klaus et al.
Klaus et al. Science, vol. 278, Dec. 12, 1997, pp. 1934-1936.*
Deal et al., Electrical Properties of Vapor-Deposited Silicon Nitrate . . . Mar. 1968, J. Electrochem. Soc., pp. 300-307.
Van Cauwelaert et al., Infra-Red Spectroscopic Study of the Absorption of . . . Jun. 1971, Laboratorium voor Oppervlaktescheikunde, pp. 66-76.
Goto et al., Dielectric Properties of Chemically Vapor-Deposited Si3N4, 1989, Journal of Materials Science 24, pp. 821-826.
Blitz et al., The Role of Amine Structure on Catalytic Activity . . . , 1988, Journal of Colloid and Interface Science-vol. 26-No. 2, pp. 387-392.
Blitz et al., Ammonia-catalyzed silylation Reactions of CAB-O-SIL With Methoxymethylsilanes, 1987, J. Am. Chem. Soc., pp. 7141-7145.
Adams et al., The Deposition of Silicon Dioxide Films at Reduced Pressure, Jun. 1979, J. Electrochem. Soc. pp. 1042-1046.
Wanatabe et al., The Properties of LPCVD SiO2Film . . . , Dec. 1981, J. Electrochem. Soc. pp. 2630-2635.
Becker et al., Low Pressure Deposition of High-Quality SiO2Films . . . , 1987, J. Vac. Sci. Technol. B 5 (6), pp. 1555-1563.
Kern et al., Advances is Deposition Processes For Passivation Films, 1977, J. Vac. Sci. Technol.-vol. 14-No. 5, pp. 1082-1099.
Morishita et al., Atomic-Layer Chemical-Vapor-Deposition of SiO2. . . , 1995, Jpn. J. Appl. Phys. vol. 34, pp. 5738-5742.
Morishita et al., New Substances for Atomic-Layer Deposition of Silicon Dioxide, 1995, Journal of Non-Crystalline Solids 187, pp. 66-69.
Tripp et al., Chemical Attachment of Chorosilanes to Silica . . . , 1993, J. phys. Chem. 97, pp. 5693-5698.
Ehrlich et al., Fast Room Temperature Growth of SiO2Films . . . , 1991, Appl. Phys. Lett 58 (23), pp. 2675-5677..
Klaus et al., Atomic Layer Controlled Growth of Si3N4. . . , 1998, Surface Science, pp. L14-L19.
Klaus et al., Atomic Layer Deposition of SiO2. . . , 2000, Surface Science 447, pp. 81-90.
Klaus et al., Atomic Layer Deposition of SiO2. . . , 1999, Surface Review and Letters-vol. 6-Nos. 3 and 4. pp. 435-448.
Klaus et al., Growth of SiO2at Room Temperature . . . , Dec. 1997, Science-vol. 278, pp. 1934-1936.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method for forming SIO2 by chemical vapor deposition at room... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method for forming SIO2 by chemical vapor deposition at room..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method for forming SIO2 by chemical vapor deposition at room... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3340456

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.