Method and apparatus for calculation of crosstalk noise in...

Data processing: structural design – modeling – simulation – and em – Simulating electronic device or electrical system – Circuit simulation

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C703S019000, C716S030000, C716S030000

Reexamination Certificate

active

07013253

ABSTRACT:
A method and apparatus for identifying potential noise failures in an integrated circuit design is described. In one embodiment, the method comprises locating a victim net and an aggressor within the integrated circuit design, modeling the victim net using two π-type resistor-capacitor (RC) circuits, including determining a coupling between the victim net and the aggressor, and indicating that the integrated circuit design requires modification if modeling the victim net indicates that a potential noise failure may occur in the integrated circuit design.

REFERENCES:
patent: 5446674 (1995-08-01), Ikeda et al.
patent: 5535133 (1996-07-01), Petschauer et al.
patent: 5555506 (1996-09-01), Petschauer et al.
patent: 5568395 (1996-10-01), Huang
patent: 5596506 (1997-01-01), Petschauer et al.
patent: 5764528 (1998-06-01), Nakamura
patent: 5825661 (1998-10-01), Drumm
patent: 5838581 (1998-11-01), Kuroda
patent: 5859776 (1999-01-01), Sato et al.
patent: 5883808 (1999-03-01), Kawarabayashi
patent: 6029117 (2000-02-01), Devgan
patent: 6044209 (2000-03-01), Alpert et al.
patent: 6117182 (2000-09-01), Alpert et al.
patent: 6138267 (2000-10-01), Murai
patent: 6493853 (2002-12-01), Savithri et al.
patent: 6536022 (2003-03-01), Aingaran et al.
patent: 2002/0022951 (2002-02-01), Heijningen et al.
Jin et al., “A new approach to analyze interconnect delays in RC wire models”, IEEE, 1999.
Kahng et al., “Noise models for multiple segmented RC interconnects”, IEEE, Mar. 26-28, 2001.
Rao, “Delay analysis of the distributed RC line”, IEEE, 1995.
Kawaguchi, H. et al.: “Delay and Noise Formulas for Capacitively Coupled Distributed RC lines”, in Proc. Asia and South Pacific Design Automation Conf., pp. 35-43, 1998.
Nakagawa, S. et al.: “On-chip Cross Talk Noise Model for Deep-Submicrometer ULSI Interconnect”, Hewlett-Packard Journal, vol. 49, pp. 39-45, Aug. 1998.
Stöhr, T. et al.: “Analysis, Reduction and Avoidance of Crosstalk on VLSI Chips”, in Proc. Int. Symp. On Physical Design, pp. 211-218, Apr. 1998.
Vittal, A. et al.: “Crosstalk Reduction for VLSI”, IEEE Trans. On Computer-aided Design of Integrated Circuits and Systems, vol. 16, pp. 290-298, 1997.
Devgan, A. : “Efficient Coupled Noise Estimation for On-chip Interconnects”, in Proc. IEEE/ACM Int. Conf. On Computer Aided Design, pp. 147-153, 1997.
Semiconductor Industry Association, “The National Technology Roadmap for Semiconductors”, 1997 edition.
Shepard, K.L., et al.: “Noise in Deep Submicron Digital Design”, in Proc. IEEE/ACM Int. Conf. On Computer Aided Design, pp. 524-531, 1996.
Sakurai, T.: “Closed-form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSIs”, IEEE Trans. On Electron Devices, vol. 40, pp. 118-124, 1993.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Method and apparatus for calculation of crosstalk noise in... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Method and apparatus for calculation of crosstalk noise in..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Method and apparatus for calculation of crosstalk noise in... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3592946

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.