Generating a worst case current waveform for testing of...

Data processing: structural design – modeling – simulation – and em – Simulating electronic device or electrical system – Circuit simulation

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

07917347

ABSTRACT:
Mechanisms for generating a worst case current waveform for testing of integrated circuit devices are provided. Architectural analysis of an integrated circuit device is first performed to determine an initial worst case power workload to be applied to the integrated circuit device. Thereafter, the derived worst case power workload is applied to a model and is simulated to generate a worst case current waveform that is input to an electrical model of the integrated circuit device to generate a worst case noise budget value. The worst case noise budget value is then compared to measured noise from application of the worst case power workload to a hardware implemented integrated circuit device. The worst case current waveform may be selected for future testing of integrated circuit devices or modifications to the simulation models may be performed and the process repeated based on the results of the comparison.

REFERENCES:
patent: 5210820 (1993-05-01), Kenyon
patent: 6424022 (2002-07-01), Wu et al.
patent: 7039536 (2006-05-01), Nagata et al.
patent: 2002/0147555 (2002-10-01), Nagata et al.
patent: 2002/0164851 (2002-11-01), Wu et al.
patent: 2005/0188230 (2005-08-01), Bilak
patent: 2008/0189090 (2008-08-01), Aikawa et al.
Advanced Micro Devices, “AMD-K6E”, Jan. 1999, Advanced Micro Devices, pp. 1-10.
Toshio Murayama et al., “Estimation of peak current through CMOS VLSI circuit supply lines”, 1999, Design Automation Conference 1999, pp. 295-298.
MicroSim Corporation, “MicroSim PSpice & Basics”, 1997, MicroSim Corporation, pp. 9-9 through 9-14.
Hooman Darabi et al., “Noise in RF-CMOS Mixers: a simple physical model”, 2000, IEEE Transactions on Solid State Circuits, vol. 35, No. 1, pp. 15-25.
Harish Kriplani et al., “Pattern Independent Maximum Current Estimation in Power and Ground Buses of CMOS VLSI Circuits; Algorithms, Signal Correlations, and Their Resolution”, 1995, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 14, No. 8, pp. 998-1012.
Angela Krstic et al., “Vector generation for maximum instantaneous current through supply lines for CMOS circuits”, 1997, Design Automation Conference, six unnumbered pages.
Yi-Min Jiang et al., “Estimation of maximum power supply noise for deep sub-micron designs”, 1998, Proceedings of the International Symposium on Low Power Electronics and design, pp. 233-238.
Frantz et al., “Push performance and power beyond the data sheet”, CMP Media LLC, Power Management DesignLine, 2006, http://www.powermanagementdesignline.com/howto
ewpowerplays/188101596, 6 pages.
USPTO U.S. Appl. No. 11/671,852, 1 page.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Generating a worst case current waveform for testing of... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Generating a worst case current waveform for testing of..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Generating a worst case current waveform for testing of... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2738547

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.