Etch stop for use in etching of silicon oxide

Active solid-state devices (e.g. – transistors – solid-state diode – With means to control surface effects – Insulating coating

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C257S607000, C438S970000

Reexamination Certificate

active

06555896

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention in general relates to integrated circuit fabrication processes and more particularly to a silicon-nitride-based material for use as an etch stop when etching silicon dioxide in such fabrication processes and a method of making such an etch stop material.
2. Statement of the Problem
Integrated circuits are mass produced by fabricating hundreds of identical circuit patterns on a single semiconductor wafer. Subsequently, the wafer is sawed into hundreds of identical dice or chips. While sometimes referred to as “semiconductor devices”, integrated circuits are in fact fabricated from patterned layers of conductors, insulators, and semiconductors. Silicon, the most commonly used semiconductor material, is used in either the single crystal or polycrystalline form. One type of semiconductor wafer fabrication process, which the embodiment of the invention described herein utilizes, begins with a single crystal silicon substrate. Silicon dioxide is also commonly used in integrated circuits as an insulator or dielectric. Its use is so common that in the art is generally referred to as “oxide” without ambiguity.
Silicon dioxide is routinely used in integrated circuit fabrication technology by forming a layer of the material on the integrated circuit wafer, then removing portions of the layer in a photo mask and etch process. In such a photo mask and etch process, a photo mask containing the pattern of the structure to be fabricated is created, then, after formation of the silicon dioxide layer, the wafer is coated with a light-sensitive material called photoresist or resist. Resists are termed either positive or negative, a negative resist being a material which on exposure to light becomes less soluble in a developer solution, and a positive resist being a material which on exposure to light becomes more soluble in a developer solution.
The resist-coated wafer is then exposed to ultraviolet light through the mask. Upon exposure to the light, cross polymerization occurs in a negative resist making it insoluble in organic-based developers; in a positive resist, carboxylic acid groups are formed, rendering it soluble in basic pH media. The more soluble parts of the resist are then removed in a process called “developing.” The wafer is etched to remove the silicon oxide unprotected by the resist, and then the remaining resist is stripped. This masking process permits specific areas of the silicon dioxide to be formed to meet the device design requirements.
In the etch process described above, it is important that the etch selectively remove the unwanted silicon dioxide and that the material underlying the silicon dioxide layer is not damaged. A common way to accomplish this is to deposit or otherwise form an etch stop layer on the wafer prior to formation of the silicon dioxide. Such etch stop layers are commonly made of an insulating material that is resistant to the particular etch process used. In the integrated circuit fabrication art, the property of being resistant to an etch process is called the “selectivity” of a material. The selectivity S of a particular material in a particular etch process is usually defined as the etch rate of the material to be removed divided by the etch rate of the particular material. Thus, a material that is highly resistant to an etch is said to have a high selectivity. Common insulators that have a high selectivity in silicon dioxide etch processes and are used as etch stop layers are aluminum oxide (Al
2
O
3
), titanium dioxide (TiO
2
), and silicon nitride (Si
3
N
4
). Aluminum oxide and titanium dioxide present a risk of contamination of the wafer with metal and other particles that can create defects in the electrical circuits, and thus are used sparingly, and in particular are generally not used in high volume integrated circuit manufacturing processes such as DRAM fabrication. Silicon nitride has been used as an etch stop layer for etching silicon dioxide in DRAM fabrication processes.
One common method of etching silicon dioxide is in a fluorine plasma, such as CF
4
, C
2
F
6
, SF
6
, or NF
3
, while using silicon nitride as an etch stop. Often fluorine plasma etches are performed under conditions that provide a directional or anisotropic etch so that the sidewalls of the etched feature are nearly vertical. It is known to add polymerizing agents, such as C
2
F
2
, CHF
3
, and other such carbon containing materials to a fluorine plasma to provide a high selectivity to silicon nitride. It has also been found that if enough hydrogen is present in the plasma, such polymerizing agents can condense out on the silicon dioxide and stop the etch of the silicon dioxide. If an oxygen source is supplied to the plasma, the deposition of the polymers decreases or is prevented altogether. However, increased oxygen tends to make the etch less directional or more isotropic.
There is much literature in the art related to fine tuning such fluorine etches by use of polymers and oxygen to maximize the selectivity to silicon nitride while preventing polymer deposition. All of this art teaches that adding large quantities of hydrogen to the plasma is counterproductive, due to the deposition of polymer on the reactor walls and narrow process windows. Further, the art relating to the use of silicon nitride in integrated circuit manufacturing processes teaches that hydrogen should be excluded because it lightens the material, which is considered to be detrimental to a dielectric. The plasma etch art shows also that it is very difficult to control the oxide etching process described above: that is, the better the selectivity, the more chance there is of polymer deposition.
These processes are thus balanced on the edge of disaster, with the strong possibility of sudden, massive depositions of polymer that ruin the wafers. There is a need therefore for a silicon dioxide etching process and etch stop layer material that provides high selectivity while also being controllable, without the potential of contamination of the wafer.
Generally, a change in one phase of the integrated fabrication process impacts other phases. Since integrated circuit fabrication processes are highly complex and require sophisticated equipment, developments of entirely new processes and materials can be quite costly. Thus new methods and materials for silicon dioxide etching that can be incorporated into current fabrication technology would be desirable because expensive modification of equipment and processes can be avoided.
3. Solution to the Problem
The present invention solves the above problems by providing an etch stop material with improved selectivity. The invention provides one or more methods of making silicon nitride etch stop material that has higher selectivity in silicon dioxide etch processes than silicon nitride made by prior art processes.
Analysis indicates that the invention provides an etch stop material comprising silicon nitride containing added hydrogen. It has been found that such a hydrogen-enriched silicon nitride has an increased selectivity in anisotropic or directional silicon dioxide etch processes, without creating wafer contamination problems that cause defective integrated circuits.
SUMMARY OF THE INVENTION
The invention provides a method of fabricating an integrated circuit comprising: providing a semiconductor wafer; creating a layer of silicon nitride on the wafer incorporating hydrogen in the silicon nitride; creating a silicon oxide layer on the layer of silicon nitride; and patterning the silicon oxide in a process including directionally etching through selected areas of the silicon oxide and stopping the etch on the silicon nitride layer. The present invention provides a Si
3
N
4
film with elevated hydrogen content to interact with a dry etch process to retard the etch rate. Any method used to increase hydrogen content in a Si
y
N
x
film may be employed, including ion implantation, optimization of PECVD or LPCVD, and other processes where the hydrogen content of the film is elevated above t

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Etch stop for use in etching of silicon oxide does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Etch stop for use in etching of silicon oxide, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Etch stop for use in etching of silicon oxide will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3057330

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.