Dual damascene interconnect

Active solid-state devices (e.g. – transistors – solid-state diode – Combined with electrical contact or lead – Of specified material other than unalloyed aluminum

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C257S763000, C257S774000

Reexamination Certificate

active

06534866

ABSTRACT:

FIELD OF THE INVENTION
The present invention relates generally to the fabrication of multi-layer integrated circuits, and more particularly to contact formation in a dual damascene interconnect scheme.
BACKGROUND OF THE INVENTION
There are numerous semiconductor process steps involved in the development of modern day integrated circuits (ICs). From the initial fabrication of silicon substrates to final packaging and testing, integrated circuit manufacturing involves many fabrication steps, including photolithography, doping, etching and thin film deposition. As a result of these processes, integrated circuits are formed of microscopic devices and wiring amid multiple layers.
Contact vias or openings are commonly formed in insulating materials known as interlevel dielectrics (ILDs). The vias are then filled with conductive material, thereby interconnecting electrical devices and wiring at various levels. Damascene processing similarly involves etching trenches in insulating layers in a desired pattern for a wiring layer. These trenches are then filled with conductive material to produce the integrated wires. Where contact vias, extending downwardly from the bottom of the trenches, are simultaneously filled, the process is known as dual damascene.
The integrated wires that interconnect between different active devices should be fabricated of a relatively low resistivity material. Aluminum (Al), for example, has generally prevailed as the material of choice in the development of integrated wires or “runners” because of its low resistivity (~2.7 &mgr;&ohgr;-cm). Other favorable characteristics of aluminum include good adhesion to insulation layers such as silicon oxide surfaces (SiO
2
). Copper demonstrates even lower resistivity, though it introduces its own difficulties in integration.
Ideally, highly conductive metals should be employed not only in lateral runners, but also in vertical contact regions. Contact openings, however, are being developed with higher aspect ratios (A/R), such that aluminum can not adequately fill the contact openings by conventional physical vapor deposition (PVD) sputtering. Imperfect aluminum deposition also results in electromigration at points of inadequate fill, especially in the contact regions where there are generally large current densities. Furthermore, Al—Si contact interfaces are prone to junction spiking resulting in large leakage currents or even electrical shorts.
On the other hand, metals for which chemical vapor deposition (CVD) are well developed, such as tungsten (W) are most often employed for filling contact openings with high aspect ratios. Tungsten (W) exhibits excellent resistance to electromigration effects, hillock formation, and humidity-induced corrosion. Since W can be deposited by means of CVD, it allows much better step coverage that can be obtained by sputter-deposited or evaporated films (e.g., Al films). The downside corollary to depositing W is its relatively high resistivity (~6-15 &mgr;&ohgr;-cm). Moreover, separate plug and wiring formation is less efficient than simultaneous formation using dual damascene process flows.
There is consequently a need for improved methods and structures for integrated circuit interconnects, including contacts or plugs and metal runners.
SUMMARY OF THE INVENTION
These and other needs are satisfied by several aspects of the present invention.
In accordance with one aspect of the invention, an interconnect structure is provided. The interconnect is provided in a dual damascene contact via and an overlying trench, which are in turn formed in insulating material of an integrated circuit.
The contact via is partially filled with tungsten that has a greater thickness over a bottom surface of the contact via than over any surface of the trench. A second metal that is more conductive than tungsten fills an upper portion of the contact via, over the tungsten, and at least partially fills the trench.
In accordance with another aspect of the invention, an integrated circuit, is provided with a generally horizontal metal runner in an upper insulating layer. At least a lower portion of the metal runner includes a metal. A generally vertical contact extends downwardly through a lower insulating layer from the metal runner to a lower conductive element. The contact includes an upper portion of the contact formed of the first metal. The upper portion is integrally formed with the lower portion of the metal runner. A lower portion of the contact includes a less conductive material than the metal. The thickness of this lower portion extends between about one-third and two-thirds of a contact height defined between the lower conductive element and the metal runner.
In accordance with another aspect of the invention, a system that includes an integrated contact plug is provided. The plug has a contact height between a lower surface and an upper surface. The contact plug includes a tungsten layer having a thickness over the lower surface equal to between about one-third and two-thirds of the contact height. An aluminum layer extends from the tungsten layer to the upper surface.
In accordance with another aspect of the invention, an integral metallization structure is provided in an integrated circuit. The structure includes a metal runner with a first metal layer. This first metal layer extends downwardly into an upper portion of an underlying contact. The underlying contact also includes a lower portion formed of a second metal layer that extends from the first metal layer downwardly to a contact landing pad.
In accordance with another aspect of the invention, a method is provided for forming an integrated circuit. The method includes forming a dual damascene structure in insulating material over a semiconductor substrate. This dual damascene structure includes a trench and a contact via that extends from the bottom of the trench to expose a conductive element. A first metal is deposited selectively over the conductive element, relative to insulating surfaces of the dual damascene structure, to partially fill the contact via. The remainder of the contact via is then filled with a second metal, which is more conductive than the first metal.
In accordance with another aspect of the invention, a method is provided for fabricating an integrated circuit interconnect structure. A pattern of dual damascene trenches and contact vias is etched in insulating material. A first metal then preferentially deposits into the contact vias to partially fill the contact vias. A second metal layer is then deposited by physical vapor deposition to fill a remaining portion of the contact vias over the first metal and to at least partially fill the trenches.


REFERENCES:
patent: 4804560 (1989-02-01), Shioya et al.
patent: 5677237 (1997-10-01), Tsai et al.
patent: 5714804 (1998-02-01), Miller et al.
patent: 5739579 (1998-04-01), Chiang et al.
patent: 5840625 (1998-11-01), Feldner
patent: 5990011 (1999-11-01), McTeer
patent: 6028362 (2000-02-01), Omura
patent: 6156651 (2000-12-01), Havemann
patent: 6211085 (2001-04-01), Liu
patent: 6239021 (2001-05-01), Pramanick et al.
patent: 6258707 (2001-07-01), Uzoh
patent: 6271596 (2001-08-01), Alers
patent: 6365541 (2002-04-01), Yu et al.
patent: 6380073 (2002-04-01), Hwang et al.
patent: 8227939 (1996-09-01), None
Miller et al., “CVD Tungsten Interconnect and Contact Barrier Technology for VLSI,” Solid State Technology, Dec. 1982, pp. 85-90.
Saraswat, et al. “Selective CVD of Tungsten for VLSI Technology,” Journal of the Electrochemical Society, vol. 131, No. 3, 1984, pp. 409-419.
Stacy et al.“Interfacial Structure of Tungsten Layers Formed by Selective Low Pressure Chemical Vapor Deposition,” J. Electrochem. Soc.: Solid State Science and Technology, vol. 132, No. 2, Feb. 1985, pp. 444-448.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Dual damascene interconnect does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Dual damascene interconnect, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Dual damascene interconnect will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3020568

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.