Disposable hard mask for photomask plasma etching

Radiation imagery chemistry: process – composition – or product th – Radiation modifying product or process of making – Radiation mask

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C430S323000

Reexamination Certificate

active

06749974

ABSTRACT:

BACKGROUND
The present invention relates to a photomask which includes a hard mask layer, the use of which improves the uniformity of critical dimensions on the photomask.
Photomasks are used in the semiconductor industry to transfer micro-scale images defining a semiconductor circuit onto a silicon or gallium arsenide substrate or wafer. A typical binary photomask is comprised of a transparent quartz substrate and chrome (Cr) opaque material that includes an integral layer of chrome oxide (CrO) anti-reflective (AR) material. The pattern of the Cr opaque material and CrO AR material on the quartz substrate is a scaled negative of the image desired to be formed on the semiconductor wafer.
To create an image on a semiconductor wafer, a photomask is interposed between the semiconductor wafer, which includes a layer of photosensitive material, and an energy source commonly referred to as a Stepper. The energy generated by the Stepper passes through the transparent portions of the quartz substrate not covered by the Cr opaque material and the CrO AR material, and causes a reaction in the photosensitive material on the semiconductor wafer. Energy from the Stepper is inhibited from passing through the areas of the photomask in which the Cr opaque material and CrO AR is present. The CrO AR material prevents most, but not all, of the incident energy from being reflected back into the Stepper. If excess energy is reflected back into the Stepper a degraded image will be created in the photosensitive resist material on the semiconductor wafer surface, thereby resulting in a degradation of performance of the semiconductor device.
A finished photomask used in the production of semiconductor devices is formed from a “blank” or “undeveloped” photomask. As shown in
FIG. 1
, a prior art blank photomask
20
is comprised of four layers. The first layer
2
is a layer of quartz, commonly referred to as the substrate, and is typically approximately one quarter inch thick. Affixed to the quartz substrate
2
is a layer of Cr opaque material
4
which typically is approximately 900 Å to 1000 Å thick. An integral layer of CrO AR material
6
is formed on top of the layer of Cr opaque material
4
. The layer of CrO AR material is typically approximately 100 Å thick. A layer of photosensitive resist material
8
resides on top of the CrO AR material
6
. The photosensitive resist material
8
is typically a hydrocarbon polymer, the various compositions and thicknesses of which are well known in the art.
The desired pattern of Cr opaque material to be created on the photomask may be defined by an electronic data file loaded into an exposure system which typically scans an electron beam (E-beam) or laser beam in a raster fashion across the blank photomask. One such example of a raster scan exposure system is described in U.S. Pat. No. 3,900,737 to Collier. As the E-beam or laser beam is scanned across the blank photomask, the exposure system directs the E-beam or laser beam at addressable locations on the photomask as defined by the electronic data file. The areas of the photosensitive resist material that are exposed to the E-beam or laser beam become soluble while the unexposed portions remain insoluble. As shown in
FIG. 2
, after the exposure system has scanned the desired image onto the photosensitive resist material, the soluble photosensitive resist is removed by means well known in the art, and the unexposed, insoluble photosensitive resist material
10
remains adhered to the CrO AR material
6
.
As illustrated in
FIG. 3
, the exposed CrO AR material and the underlying Cr opaque material no longer covered by the photosensitive resist material in the prior art phoromask
22
is removed by a well known etching process, and only the portions of CrO AR material
12
and Cr opaque material
14
residing beneath the remaining photosensitive resist material
10
remain affixed to quartz substrate
2
. This initial or base etching may be accomplished by either a wet-etching or dry-etching process both of which are well known in the art. In general, wet-etching process uses a liquid acid solution to erode away the exposed CrO AR material and Cr opaque material. A dry-etching process, also referred to as plasma etching, utilizes electrified gases, typically a mixture of chlorine and oxygen, to remove the exposed chrome oxide AR material and chrome opaque material.
A dry-etching process is conducted in vacuum chamber in which gases, typically chlorine and oxygen are injected. An electrical field is created between an anode and a cathode in the vacuum chamber thereby forming a reactive gas plasma. Positive ions of the reactive gas plasma are accelerated toward the photomask which is oriented such that the surface area of the quartz substrate is perpendicular to the electrical field. The directional ion bombardment enhances the etch rate of the Cr opaque material and CrO AR material in the vertical direction but not in the horizontal direction (i.e., the etching is anisotropic or directional).
The reaction between the reactive gas plasma and the Cr opaque material and CrO AR material is a two step process. First, a reaction between the chlorine gas and exposed CrO AR material and Cr opaque material forms chrome radical species. The oxygen then reacts with the chrome radical species to create a volatile which can “boil off” thereby removing the exposed CrO AR material and the exposed Cr opaque material.
As shown in
FIG. 4
, after the etching process is completed the photosensitive resist material in the prior art photomask
24
is stripped away by a process well known in the art. The dimensions of the Cr opaque material on the finished photomask
26
are then measured to determine whether or not critical dimensions are within specified tolerances. Critical dimensions may be measured at a number of locations on the finished photomask, summed, and then divided by the number of measurements to obtain a numerical average of the critical dimensions. This obtained average is then compared to a specified target number (i.e., a mean to target comparison) to ensure compliance with predefined critical dimensions specifications. Additionally, it is desired that there is a small variance among the critical dimensions on the substrate. Accordingly, the measured critical dimensions typically must also conform to a specified uniformity requirement. Uniformity is typically defined as a range (maximum minus minimum) or a standard deviation of a population of measurements.
The etch rate of the plasma etching process described above (and hence the uniformity of the critical dimensions) is dependent on the desired pattern to be formed in the Cr opaque material and CrO AR material. In areas of the photomask where a substantial portion of Cr opaque material and CrO AR material are to be removed (i.e., macro loading), the etching process may take longer than in areas of the photomask in which small portions of Cr opaque material and CrO AR material are to be removed. Likewise, there may be differences in etch rate for micro loading conditions in which the etch rate is different between isolated and dense features in the same general area. These differing etch rates make it more difficult for the finished photomask to conform to a specified uniformity requirement. Additionally, the above described etching process can also cause variances in critical dimensions because the photosensitive resist material is not entirely impervious to the plasma gases.
SUMMARY OF INVENTION
Accordingly, it is an object of the present invention to provide a blank photomask which includes a layer of hard mask material thereby enabling the critical dimensions of a finished photomask to be more uniform.
It is a further object of the invention to provide a method for manufacturing a finished photomask having improved uniformity of critical dimensions.
It is still further an object of the present invention to provide a finished photomask having improved uniformity in critical dimensions and improved anti-reflection properties thereby red

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Disposable hard mask for photomask plasma etching does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Disposable hard mask for photomask plasma etching, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Disposable hard mask for photomask plasma etching will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3336745

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.