Determination of center of focus by diffraction signature...

Optics: measuring and testing – Lens or reflective image former testing

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

Reexamination Certificate

active

06429930

ABSTRACT:

BACKGROUND OF THE INVENTION
1. Field of the Invention (Technical Field)
The present invention relates to methods for determination of parameters in lithography applications by diffraction signature analysis, including determination of center of focus in lithography applications, such as for photoresist lithographic wafer processing.
2. Background Art
Note that the following discussion refers to a number of publications by author(s) and year of publication, and that due to recent publication dates certain publications are not to be considered as prior art vis-a-vis the present invention. Discussion of such publications herein is given for more complete background and is not to be construed as an admission that such publications are prior art for patentability determination purposes.
Lithography has a variety of useful applications in the semiconductor, optics and related industries. Lithography is used to manufacture semiconductor devices, such as integrated circuits created on wafers, as well as flat-panel displays, disk heads and the like. In one application, lithography is used to transmit a pattern on a mask or reticle to a resist layer on a substrate through spatially modulated light. The resist layer is then developed and the exposed pattern is either etched away (positive resist) or remains (negative resist) to form a three dimensional image pattern in the resist layer. However, other forms of lithography are employed in addition to photoresist litholography.
In one form of lithography, particularly used in the semiconductor industry, a wafer stepper is employed, which typically includes a reduction lens and illuminator, an excimer laser light source, a wafer stage, a reticle stage, wafer cassettes and an operator workstation. Modern stepper devices employ both positive and negative resist methods, and utilize either the original step-and-repeat format or a step-and-scan format, or both.
Exposure and focus determine the quality of the image pattern that is developed, such as in the resist layer utilizing photoresist lithography. Exposure determines the average energy of the image per unit area and is set by the illumination time and intensity. Focus determines the decrease in modulation relative to the in-focus image. Focus is set by the position of the surface of the resist layer relative to the focal plane of the imaging system.
Local variations of exposure and focus can be caused by variations in the resist layer thickness, substrate topography, as well as stepper focus drift. Because of possible variations in exposure and focus, image patterns generated through lithography require monitoring to determine if the patterns are within an acceptable tolerance range. Focus and exposure controls are particularly important where the lithographic process is being used to generate sub-micron lines.
A variety of methods and devices have been used to determine focus of stepper and similar lithography devices. Scanning electron microscopes (SEM) and similar devices are employed. However, while SEM metrology can resolve features on the order of 0.1 microns, the process is costly, requires a high vacuum chamber, is relatively slow in operation and is difficult to automate. Optical microscopes can be employed, but do not have the required resolving power for sub-micron structures. Other methods include the development of specialized targets and test masks, such as are disclosed in U.S. Pat. Nos. 5,712,707, 5,953,128, and 6,088,113. Overlay error methods are also known, as disclosed in U.S. Pat. No. 5,952,132. However, these methods, while increasing resolution because of the nature of the targets, still require use of SEM, optical microscopes or similar direct measurement devices.
A variety of scatterometer and related devices and measurements have been used for characterizing the microstructure of microelectronic and optoelectronic semiconductor materials, computer hard disks, optical disks, finely polished optical components, and other materials having lateral dimensions in the range of tens of microns to less than one-tenth micron. For example, the CDS200 Scatterometer, made and sold by Accent Optical Technologies, Inc. is a fully automated nondestructive critical dimension (CD) measurement and cross-section profile analysis system, partially disclosed in U.S. Pat. No. 5,703,692. This device can repeatably resolve critical dimensions of less than 1 nm while simultaneously determining the cross-sectional profile and performing a layer thickness assessment. This device monitors the intensity of a single diffraction order as a function of the angle of incidence of the illuminating light beam. The intensity variation of the 0
th
or specular order as well as higher diffraction orders from the sample can be monitored in this manner, and this provides information that is useful for determining the properties of the sample target which is illuminated. Because the process used to fabricate the sample target determines the properties of a sample target, the information is also useful as an indirect monitor of the process. This methodology is described in the literature of semiconductor processing. A number of methods and devices for scatterometer analysis are taught, including those set forth in U.S. Pat. Nos. 4,710,642, 5,164,790, 5,241,369, 5,703,692, 5,867,276, 5,889,593, 5,912,741, and 6,100,985.
Scatterometers and related devices can employ a variety of different methods of operation. In one method, a single, known wave-length source is used, and the incident angle &THgr; is varied over a determined continuous range. In another method, a number of laser beam sources are employed, optionally each at a different incident angle &THgr;. In yet another method, an incident broad spectral light source is used, with the incident light illuminated from some range of wavelengths and the incident angle &THgr; optionally held constant. Variable phase light components are also known, utilizing optics and filters to produce a range of incident phases, with a detector for detecting the resulting diffracted phase. It is also possible to employ variable polarization state light components, utilizing optics and filters to vary the light polarization from the S to P components. It is also possible to adjust the incident angle over a range &PHgr;, such that the light or other radiation source rotates about the target area, or alternatively the target is rotated relative to the light or other radiation source. Utilizing any of these various devices, and combinations or permutations thereof, it is possible and known to obtain a diffraction signature for a sample target.
Besides scatterometer devices, there are other devices and methods capable of determining the diffraction signatures at the 0
th
order or higher diffraction orders using a light-based source that can be reflected off of or transmitted through a diffraction grating, with the light captured by a detector. These other devices and methods include ellipsometers and reflectometers, in addition to scatterometers. It is further known that non-light-based diffraction signatures may be obtained, using other radiation sources as, for example, X-rays.
A variety of sample targets are known in the art. A simple and commonly used target is a diffraction grating, essentially a series of periodic lines, typically with a width to space ratio of between about 1:1 and 1:3, though other ratios are known. A typical diffraction grating, at for example a 1:3 ratio, would have a 100 nm line width and a 300 nm space, for a total pitch (width plus space) of 400 nm. The width and pitch is a function of the resolution of the lithographic process, and thus as lithographic processes permit smaller widths and pitches, the width and pitch may similarly be reduced. Diffraction techniques can be employed with any feasible width and pitch, including those substantially smaller than those now typically employed.
Diffraction gratings are typically dispersed, in a known pattern, within dies on a wafer. It is known in the art to employ multiple dies (or exposure fields) on a s

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Determination of center of focus by diffraction signature... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Determination of center of focus by diffraction signature..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Determination of center of focus by diffraction signature... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2928003

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.