Deposition of an oxide layer to facilitate photoresist...

Semiconductor device manufacturing: process – Chemical etching – Vapor phase etching

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C438S724000, C438S725000

Reexamination Certificate

active

06191046

ABSTRACT:

TECHNICAL FIELD
The present invention generally relates to lithography and more particularly relates to a method which facilitates reworking a photoresist used in integrated circuit (IC) fabrication.
BACKGROUND OF THE INVENTION
There is an ever present demand for IC fabricating fabs to increase their yield and productivity, while reducing cost. This demand has led to an ever constant attempt to rework ICs which are defective in order to salvage time and money expended in fabricating correct portions of the IC.
In general, lithography refers to processes for pattern transfer between various media. It is a technique used for integrated circuit (IC) fabrication in which, for example, a silicon wafer is coated uniformly with a radiation-sensitive film (e.g., a photoresist), and an exposing source (such as ultraviolet light, x-rays, or an electron beam) illuminates selected areas of the film surface through an intervening master template (e.g., a mask or reticle) to generate a particular pattern. The exposed pattern on the photoresist film is then developed with a solvent called a developer which makes the exposed pattern either soluble or insoluble depending on the type of photoresist (i.e., positive or negative resist). The soluble portions of the resist are then removed, leaving a photoresist mask corresponding to the desired pattern to be formed on the silicon wafer.
In the process discussed above it is important that the patterning of the photoresist yield not only the desired pattern size but a correctly aligned pattern. In some instances the patterned photoresist can be incorrectly patterned due to, for example, line-width variations such as out-of-specification line widths, mispatterning or overlay/pattern mismatch with a lower layer.
Reworking or re-patterning a photoresist of an IC device is economically desirable, as compared to scrapping the wafer, when there is at least one correctly constructed lower layer (e.g., a silicon wafer) already formed beneath a photoresist layer. However, the process of stripping the photoresist pattern layer or portion thereof may result in damage to or change a top monolayer of oxide portion of an anti-reflective coating (ARC) which lies on top of a polysilicon layer. A change in the monolayer may result in exposure dose change as well as interaction of the deep UV photoresist with the ARC material.
More particularly, a top monolayer of a silicon oxy-nitride ARC is converted into an oxide by N
2
O plasma to prevent nitrogen contact with the photoresist layer formed over the ARC. Nitrogen contact with the photoresist may result in undesirable footing problems (non-uniform structure). Thus, the oxide monolayer serves as a barrier between the photoresist and nitrogen portion of the ARC. Such a monolayer works fine during an intial photoresist application and photolithographic process. However, if the photoresist needs to be reworked the plasma and chemical process employed in the rework to strip the photoresist may result in removal of the oxide monolayer. Consequently, nitrogen bonds of the silicon oxy-nitride may react with a newly applied chemically amplified deep UV photoresist resulting in footing problems in the new photoresist layer.
Thus, there is a need in the art for a method which permits easy reworking of an incorrectly formed photoresist layer while preventing nitrogen contact between an ARC and newly applied photoresist layer.
SUMMARY OF THE INVENTION
The present invention relates to a method to facilitate rework of an incorrectly patterned photoresist layer. The present invention mitigates the aforementioned nitrogen contact problem by forming an oxide layer over an ARC. The oxide layer is substantially thicker than the aforementioned oxide monolayer. The oxide layer provides the same functionality (e.g., barrier to nitrogen/photoresist contact) and will withstand the harsh photoresist process such that there is sufficient oxide layer to serve as a barrier between the nitrogen of the ARC and a new second photoresist layer.
The oxide layer imparts additional advantages with respect to improving lithography. The oxide layer mitigates corner faceting of the underlayer polysilicon lines. Oftentimes, upper comers of polysilicon lines are faceted due to greater exposure to etchants as compared to bottom comers of the lines. By having the oxide layer lie atop the underlayer from which the lines are etched, the oxide layer bears the increased exposure to the etchant rather than the top comers of the lines. The dielectric layer also may serve as an additional anti-reflective coating during photolithography so as to mitigate standing wave problems that may result from a reflective underlayer.
In accordance with one aspect of the invention, a method of reworking a photoresist used to pattern a semiconductor structure is provided. A dielectric layer is formed over an anti-reflective coating. A first photoresist layer is formed and patterned over the dielectric layer to yield a desired photoresist pattern. At least one of an improper line and defect of the patterned first photoresist layer is determined. The patterned first photoresist layer is removed. A second photoresist layer is formed and patterned over the dielectric layer.
Another aspect of the present invention provides for a method of reworking a semiconductor structure containing patterned photoresist layers formed upon an oxide layer serving as a barrier between an anti-reflective coating and the photoresist layers sequentially comprising the steps of: removing the patterned photoresist layer and a portion of the underlying oxide layer from the semiconductor structure; and forming and patterning a second photoresist layer over the remaining oxide layer.
Still another aspect of the present invention relates to a method of reworking a patterned photoresist layer used in the formation of a semiconductor structure sequentially comprising the steps of: forming an oxide layer over an anti-reflective layer; forming and patterning a first photoresist layer over the oxide layer to yield a desired photoresist pattern; removing the patterned first photoresist layer and a portion of the underlying oxide layer; and forming and patterning a second photoresist layer over the remaining portion of the oxide layer.
A further aspect of the present invention relates to a semiconductor structure, including: an anti-refective coating formed over an underlayer; a dielectric layer formed over the anti-reflective coating; and a first photoresist layer formed over the dielectric layer; wherein the dielectric layer shields the first photoresist layer from the anti-reflective coating, the dielectric layer having a thickness within the range of 10 Å to 1000 Å.
Still another aspect of the present invention relates to a method of reworking a photoresist used to pattern a semiconductor structure. A dielectric layer is formed over an anti-reflective coating, the anti-reflective coating covering a first underlayer, the first underlayer covering a second underlayer. A first photoresist layer is formed and patterened over the dielectric layer to yield a desired photoresist pattern. An undesired feature in the patterned first photoresist layer is determined. The patterned first photoresist layer is removed. A second photoresist layer is formed and patterned over the dielectric layer. Exposed portions of the dielectric layer, the anti-reflective coating and the first underlayer are etched. A thin photoresist layer is formed over exposed portions of the second underlayer. A CMP process is performed to remove the dielectric layer. The thin photoresist layer is stripped.
To the accomplishment of the foregoing and related ends, the invention, then, comprises the features hereinafter fully described and particularly pointed out in the claims. The following description and the annexed drawings set forth in detail certain illustrative embodiments of the invention. These embodiments are indicative, however, of but a few of the various ways in which the principles of the invention may be employed. Other objects,

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Deposition of an oxide layer to facilitate photoresist... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Deposition of an oxide layer to facilitate photoresist..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Deposition of an oxide layer to facilitate photoresist... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-2577619

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.