Automated generation of megacells in an integrated circuit desig

Boots – shoes – and leggings

Patent

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

364490, 364489, G06F 1750

Patent

active

058985956

ABSTRACT:
A computer-based system and method automate the generation of megacells in the design and layout of integrated circuits. The preferred method utilizes an automatic design generator having a user interface which receives design requirements for a megacell or other complex integrated circuit design. A megacell processor receives the design requirements for the megacell and retrieves relevant megacell implementations from a megacell library. Stored megacell benchmarks are then retrieved from a megacell benchmark memory and applied to corresponding megacells to determine which of the various implementations optimally satisfies the user design requirements. Once the optimal megacell implementation is selected, the megacell processor produces a logic design consisting of a net list and a physical design consisting of design directives which are then used to place and route the megacell as a finished layout. Once the layout is completed, the finished layout is simulated and tested and test results from the finished layout simulation are then fed back to the automatic design generator where the megacell benchmark memory is updated.

REFERENCES:
patent: 4882690 (1989-11-01), Shinsha et al.
patent: 4918614 (1990-04-01), Modarres et al.
patent: 4967367 (1990-10-01), Piednoir
patent: 5031111 (1991-07-01), Chao et al.
patent: 5084824 (1992-01-01), Lam et al.
patent: 5095454 (1992-03-01), Huang
patent: 5168455 (1992-12-01), Hooper
patent: 5175696 (1992-12-01), Hooper et al.
patent: 5191541 (1993-03-01), Landman et al.
patent: 5197015 (1993-03-01), Hartoog et al.
patent: 5197016 (1993-03-01), Sugimoto et al.
patent: 5208764 (1993-05-01), Rusu et al.
patent: 5212650 (1993-05-01), Hooper et al.
patent: 5218551 (1993-06-01), Agrawal et al.
patent: 5220512 (1993-06-01), Watkins et al.
patent: 5301318 (1994-04-01), Mittal
patent: 5305229 (1994-04-01), Dhar
patent: 5311443 (1994-05-01), Crain et al.
patent: 5333032 (1994-07-01), Matsumoto et al.
patent: 5345393 (1994-09-01), Ueda
patent: 5349542 (1994-09-01), Brasen et al.
patent: 5459673 (1995-10-01), Carmean et al.
patent: 5521837 (1996-05-01), Frankle et al.
patent: 5555201 (1996-09-01), Dangelo et al.
patent: 5555210 (1996-09-01), Dangelo et al.
patent: 5633805 (1997-05-01), Simonsen
Steptoe, Kevin. "Convergent layout optimization for deep submicron designs," Electronic Engineering (May 1995), pp. 41, 42, 44, 46.
Sait et al. ("Design of a cell library for formal high level synthesis", IEEE, Proceedings of the 7th Mediterranean Electrotechnical Conference, Apr. 12, 1994, vol. 3, pp. 1238-1241).
Lin et al. ("Delay and area optimization in standard-cell design", IEEE, 27th ACM/IEEE Design Automation Conference, Jun. 24, 1990, pp. 349-352).
Bride ("Library Development Techniques Speed Chip Design", Electronic Design, vol. 41, No. 21, Oct. 14, 1993, pp. 84, 86).
Weiss ("Probing the Limits of Logic Synthesis", EDN Electrical Design News, vol. 39, No. 6, Mar. 17, 1994, pp. 50-52, 55-56, 59-60, 62).
Anshumali ("ACC: automatic cell characterization", IEEE Comput. Soc. Press, Euro ASIC '91, May 27, 1991, pp. 204-209).
Asdjodi ("ELL: Extendable Library Language", IEEE Comput. Soc. Press, Proceedings of the Twenty-Third Annaual Hawaii International Conference on System Sciences, vol. 2, Jan. 2, 1990, pp. 257-266).
Cirit ("Characterizing a VLSI standard cell library", IEEE, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference, May 12, 1991, pp. 25.7.1-25.7.4).
Dutt ("Legend: a language for generic component library description", IEEE Comput. Soc. Press, 1990 International Conference on Computer Languages, Mar. 12, 1990, pp. 198-207).
Foo et al. ("Databases and cell-selections algorithms for VLSI cell libraries", IEEE Comput. Soc., vol. 23, No. 2, Feb. 1990, pp. 18-30).
Herbert ("An integrated design and characterization environments for the development of a standard cell library", IEEE, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference, May 12, 1991, pp. 25.6/1-5).
Jha et al. ("Rapid technology projection for high-level synthesis", IEEE Comput. Soc. Press, Proceedings of the Seventh International Conference on VLSI Design, Jan. 5, 1994, pp. 155-158).
Menkis ("Designing for change with a module generator", ESD:The Electronic System Design Magazine, Jun. 1, 1989, pp. 69-72).
Veselinovic et al. ("A flexible topology selection program as part of an analog synthesis system", IEEE Comput. Soc. Press, Proceedings of the European Design and Test Conference, Mar. 6, 1995, pp. 119-123).
Rehani et al. ("A framework for building cell libraries with novel devices", IEEE, vol. 1, pp. 432-436, Jan. 1, 1994).
Rao ("An open environment for standard cell and gate array library development", IEEE Comput. Soc. Press, Proceedings of EURO ASIC '92, Jun. 1, 1992, pp. 72-77).
Nguyen ("Verilog library development using Cadence Central Delay Calculator", IEEE Comput. Soc. Press, Proceedings of the 1994 International Verilog HDL Conference, Mar. 14, 1994, pp. 115-119).
Kurosawa et al. ("Automation of user-specific ASIC library development", IEEE, Proceedings of the Fourth Annual IEEE International ASIC Conference and Exhibit, Sep. 23, 1991, pp. P14-7/1-5).
Neubert et al. ("Top-down knowledge acquisition", IEEE Comput. Soc. Press, Proceedings of the Second International Conference on Expert Systems for Development, Mar. 28, 1994, pp. 108-113).
Katsadas et al. ("Regular module generation or standard cells: two alternative implementations of a library of functional building blocks", IFIP Transactions A (Computer Science and Technology), Jan. 1, 1993, pp. 167-181).
Dutt et al. ("Bridging high-level synthesis to RTL technology libraries", Proceedings of the 28th ACM/IEEE Design Automation Conference, Jun. 17, 1991, pp. 526-529).
Roa et al. ("On clustering for maximal regularity extraction", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 12, No. 8, Aug. 1993, pp. 1198-1208).
Royals et al. ("Creating the IC palette (ASIC design)", IEEE Comput. Soc., First international Workshop on Rapid System Prototyping: Shortening the Path from Specification to Prototype, Jun. 4, 1990, pp. 76-86).

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Automated generation of megacells in an integrated circuit desig does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Automated generation of megacells in an integrated circuit desig, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Automated generation of megacells in an integrated circuit desig will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-689480

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.