Apparatus and process for determination of dynamic scan...

Photocopying – Projection printing and copying cameras – Focus or magnification control

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C355S053000

Reexamination Certificate

active

07126668

ABSTRACT:
A process for the determination of focal plane deviation uniquely due to the scanning dynamics associated with a photolithographic scanner is described. A series of lithographic exposures is performed on a resist coated silicon wafer using a photolithographic scanner. The lithographic exposures produce an array of focusing fiducials that are displaced relative to each other in a unique way. The resulting measurements are fed into a computer algorithm that calculates the dynamic scanning field curvature in an absolute sense in the presence of wafer height variation and other wafer/reticle stage irregularities. The dynamic scan field curvature can be used to improve lithographic modeling, overlay modeling, and advanced process control techniques related to scanner stage dynamics.

REFERENCES:
patent: 4861148 (1989-08-01), Sato et al.
patent: 5285236 (1994-02-01), Jain
patent: 5300786 (1994-04-01), Brunner et al.
patent: 5303002 (1994-04-01), Yan
patent: 5402224 (1995-03-01), Hirukawa et al.
patent: 5757507 (1998-05-01), Auschnitt et al.
patent: 5828455 (1998-10-01), Smith et al.
patent: 5936738 (1999-08-01), Liebmann et al.
patent: 5978085 (1999-11-01), Smith et al.
patent: 6639651 (2003-10-01), Matsuyama
patent: 6724464 (2004-04-01), Yang et al.
patent: 6906303 (2005-06-01), Smith
patent: 6961115 (2005-11-01), Hamatani et al.
patent: 6963390 (2005-11-01), Smith et al.
patent: 2003/0095247 (2003-05-01), Nakao
patent: 2003/0202174 (2003-10-01), Smith et al.
patent: 0833 208 (1998-01-01), None
R. DeJule, “Mix-and-Match: A Necessary Choice”,Semiconductor International, Feb. 2000, pp. 66-76.
R. DeJule, “A Look at Overlay Error”,Semiconductor International, Feb. 2000, p. 52.
D. Cote et al., “Micrascan™ III Performance of a Third Generation, Catadioptric Step and Scan Lithographic Tool”,SPIEvol. 3051, pp. 806-816.
J. Mulkens et al., “ArF Step and Scan Exposure System for 0.15 μm and 0.13 μm Technology Node?”,SPIEvol. 3679, Mar. 1999, pp. 506-521.
T.A. Brunner, “Impact of Lens Aberrations on Optical Lithography”, pp. 1-9.
J. van Schoot et al., “0.7 NA DUV Step & Scan System for 150nm Imaging with Improved Overaly”,SPIEvol. 3679, Mar. 1999, pp. 448-456.
H. G. Müller et al., “Large Area Fine Line Patterning by Scanning Projection Lithography”, pp. 100-104.
J.E. Bjorkholm et al., “Reduction Imaging at 14nm Using Multilayer-Coated Optics: Printing of Features Smaller than 0.1 μm”,J. Vac. ci. Technol. B 8 (6), Nov./Dec. 1990, pp. 1509-1513.
B. E. Newnam et al., “Development of XUV Projection Lithography at 60-80 nm”,SPIEvol. 1671, 1992, pp. 419-427.
W. H. Press et al., “Numerical Recipes The Art of Scientific Computing”, pp. 52-64.
C. P. Ausschnitt, “Distinguishing Dose from Defocus for In-Line Lithography Conrol”,SPIEvol. 3677, Mar. 1999, pp. 140-147.
G. M. Pugh, “Detailed Study of a Phase-Shift Focus Monitor”,SPIEvol. 2440, pp. 690-700.
J.W. Gemmink, “A Simple and Calibratable Method for the Determinationo f Optimal Focus”,SPIEvol. 1088, 1989, pp. 220-230.
J. P. Kirk, “Astigmatism and Field Curvature from Pin-Bars”,SPIEvol. 1463, 1991, pp. 282-291.
M. Terry et al., “Gauging the Performance of An In-Situ Interferometer”.
M. Dusa et al., “Photo-Lithographic Lens Characterization of Critical Dimension Variation Using Empirical Focal Plane Modeling”,SPIEvol. 3051, Mar. 13, 1997, pp. 1-10.
J. H. Bruning, “Optical Lithography—thirty Years and Three Orders of Magnitude”,SPIEvol. 3051, pp. 14-27.
“Quaestor Q7 Brochure”,Bio-Rad Semiconductor Systems.
B. Lin, “The attenuated Phase-Shifting Mask”,Solid State Technology, Jan. 1992, pp. 43-47.
T.A. Brunner et al., “Quantitative Stepper Metrology using the Focus Monitor Test Mask”,SPIE, vol. 2197, pp. 541-549.
N. M. Ceglio et al., “Soft X-Ray Projection Lithography”,J. Vac. Sci. Technol. B 8 (6), Nov./Dec. 1990, pp. 1325-1328.
H. R. Huff et al., “Competitive Assessment of 200 mm Epitaxial Silicon Wafer Flatness”,SPIEvol. 3332, pp. 625-630.
P. Dirksen et al., “Latent Image Metrology for Production Wafer Steppers”,SPIEvol. 2440, pp. 701-711.
International Technology Roadmap for Semiconductors, 2001 Edition,SEMATECH, pp. 1-21.
G. Davies et al., “193 nm Step and Scan Lithography”, pp. 1-15.
S. D. Hsu et al., “Controlling Focal Plane Tilt”, pp. 1-5.
R.D. Mih et al., Using the Focus Monitor Test Mask to Characterize Lithographic Performance,SPIE, vol. 2440, pp. 657-665.
International Search Report and The Written Opinion of the International Searching Authority for PCT/US2005/012496 dated May 11, 2006, 12 pgs.

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Apparatus and process for determination of dynamic scan... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Apparatus and process for determination of dynamic scan..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Apparatus and process for determination of dynamic scan... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3707805

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.