Integrated circuit fabrication dual plasma process with...

Adhesive bonding and miscellaneous chemical manufacture – Differential fluid etching apparatus – With plasma generation means remote from processing chamber

Reexamination Certificate

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

C156S345360, C156S345330, C156S345480, C118S7230ME, C118S7230ER, C118S7230IR, C118S7230IR

Reexamination Certificate

active

06641698

ABSTRACT:

FIELD OF THE INVENTION
This invention relates to semiconductor wafer processing in the fabrication of integrated circuits. More particularly, the present invention relates to a new and improved way to combine a microwave generated neutral species plasma with a radio frequency generated ionized species plasma for dual plasma fabrication processes. As a result, the useful lifetime of the hardware is increased, the generation of unwanted particles from the hardware is reduced, the mean time between maintenance is increased, the stability and integrity of the performance of the etch or clean process is increased, and the overall cost of the process is decreased.
BACKGROUND OF THE INVENTION
In the fabrication of integrated circuits (IC's) on semiconductor wafers, “dual” plasma processes have been developed to etch dielectric, polysilicon and metal materials from the wafers. Dual plasma processes have also been used to remove organic materials, including photoresist, BARC (bottom anti-reflection coating) layers, etc., from the wafers. Either plasma can be generated alone and applied to the wafer in a “single plasma mode.” The dual plasma mode, however, enables a greater variety of resist and residue cleaning applications than does the single plasma mode.
In the dual plasma mode, two plasmas are applied to a wafer to realize the etch process requirements or parameters. Such process requirements and parameters involve the process rate, the uniformity of the process across the entire wafer, the selectivity of the process to the type of material to be removed and the shape, profile and aspect ratio of the features on the wafer, among other parameters and requirements. One plasma is typically generated by microwave energy, and the other plasma is typically generated by radio frequency (RF) energy.
Typically, one plasma is generated in a region remote from the wafer to avoid damage caused by uncontrolled ion bombardment from the plasma. Typically, the remotely generated plasma is the microwave plasma, or an “inductively coupled plasma” (ICP). The microwave plasma generation area is far enough removed from the wafer that any ions generated in the microwave plasma recombine or are removed, so that only neutral species (e.g. atomic oxygen, atomic hydrogen, etc.) from the microwave plasma reach the wafer. The neutral species are plasma components without an electrical charge. Some of the neutral species are also typically generated in the plasma as a result of decomposition of the original gaseous molecules.
Without ions, the neutral species involve only chemically reactions in the material removal process. The reaction rate depends on the specie type, the material type and the temperature in the process chamber.
For advanced resist and residue removal applications, an additional RF plasma is introduced independently of the microwave plasma near the wafer by applying RF power to the chuck. The RF plasma includes charged reactive ionized species (ions). The ionized species affect the surface of the wafer with high energy (i.e. impact the wafer with a “bombardment” effect) and with a reactivity that can be higher than the reactivity of the neutral species. The ion species improve the efficiency of the process, so that highly modified resist materials and tough residues can be removed by the dual plasma mode.
The dual plasma mode is based on introducing fluorine and non-fluorine process gases into the process chamber through the microwave plasma generation area. The gases that contain fluorine include carbon tetrafluoride (CF4), fluoroform (CHF3), hexafluoroethane (C2F6), nitrogen trifluoride (NF3) and sulfur hexafluoride (SF6), among others. The non-fluorine gases include oxygen, nitrogen, carbon monoxide and water vapor, among others. The gases are mixed together and the gas mixture flows through the remote microwave plasma generation area. The microwave plasma is generated with non-charged reactive neutral species, such as atomic fluorine (F), atomic oxygen (O), atomic nitrogen (N), atomic hydrogen (H), etc. The neutral species can reach the RF plasma generation area near the wafer. In the RF plasma generation area, the RF plasma (including the charged reactive ionized species) is formed in the gas mixture. The combination of both plasmas forms the plasma environment that removes the resist materials and residues that remain on the wafer surface after performing other fabrication processes, such as wafer etch, implantation, etc.
An exemplary prior art assembly
100
for a chamber configuration for a dual plasma process is shown in FIG.
1
. The assembly
100
includes a wafer processing chamber
102
connected to a microwave plasma generation assembly
104
. The gas mixture (e.g. containing both the fluorine and non-fluorine gases) flows through the microwave plasma generation assembly
104
, into the chamber
102
, down to a wafer
106
and out of the chamber through a gas outlet
108
. The wafer
106
is thus subjected to both of the plasmas inside the chamber
102
.
The microwave plasma assembly
104
includes a plasma tube
110
surrounded by a microwave waveguide
112
that is connected to a microwave power source
114
. The plasma tube
110
is typically made of quartz, sapphire, ceramic alumina or other dielectric materials. A microwave plasma generation area
115
is inside the plasma tube
110
. The gas mixture enters the plasma tube
110
through a gas inlet
116
. As the gas mixture flows through the plasma tube
110
, the microwave power source
114
supplies microwave power to the microwave guide
112
, which generates the microwave plasma in the gas mixture in the plasma tube
110
. The gas mixture (e.g. the microwave plasma of neutral species, including the neutral fluorine reactive species) flows from the plasma tube
110
into the chamber
102
through a chamber inlet
118
.
The chamber
102
includes a gas distribution module
120
, an RF plasma generation area
122
and a wafer chuck
124
. The wafer
106
sits on the wafer chuck
124
. The wafer chuck
124
is connected to an RF power source
126
. The RF power source
126
supplies RF power to the wafer chuck
124
, which generates the RF plasma in the RF plasma generation area
122
directly above the wafer
106
. As the gas mixture enters the chamber
102
, the gas mixture flows around and through the gas distribution module
120
, which evenly distributes the gas mixture across the wafer
106
and the RF plasma generation area
122
. As the gas mixture approaches the wafer
106
, ions (e.g. fluorine ions, oxygen ions, etc.) are generated in the RF plasma in the RF plasma generation area
122
. The wafer chuck
124
is RF biased by the RF power from the RF power source
126
, so the ions are accelerated toward the wafer
106
to bombard the wafer
106
. The ionized and neutral species of the two plasmas, thus, perform the etch, ash or clean process on the wafer
106
.
In many cases, the presence of the fluorine gas in the plasma tube
110
can modify or damage the plasma tube
110
and other parts in the assembly
100
that are close to the microwave plasma generation area by eroding the inner wall of the plasma tube
110
or parts of the chamber
102
or decomposing the surface of the inner wall of the plasma tube
110
or the parts of the chamber
102
. The damage affects the overall process, reduces the useful lifetime of the hardware, causes unwanted particle generation from the damaged areas, reduces mean time between maintenance and increases the cost of the process, among other things. When the inner wall of the plasma tube
110
or any parts of the chamber
102
are eroded, particles from the inner wall enter the gas mixture flow. Such particles can damage the wafer
106
or alter structures (not shown) formed on the wafer
106
. The erosion also reduces the useful lifetime of the hardware, since the eroded hardware has to be replaced. Frequent interruptions in the fabrication of the IC's in order to perform maintenance to replace hardware (i.e. short mean time between maintenance) increases the cost

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

Integrated circuit fabrication dual plasma process with... does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with Integrated circuit fabrication dual plasma process with..., we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and Integrated circuit fabrication dual plasma process with... will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-3178366

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.