High-performance, superscalar-based computer system with out-of-

Electrical computers and digital processing systems: processing – Processing architecture – Microprocessor or multichip or multimodule processor having...

Patent

Rate now

  [ 0.00 ] – not rated yet Voters 0   Comments 0

Details

712 23, 712215, 712206, 712245, 712 42, G06F 938, G06F 940

Patent

active

061015946

ABSTRACT:
A high-performance, superscalar-based computer system with out-of-order instruction execution for enhanced resource utilization and performance throughput. The computer system fetches a plurality of fixed length instructions with a specified, sequential program order (in-order). The computer system includes an instruction execution unit including a register file, a plurality of functional units, and an instruction control unit for examining the instructions and scheduling the instructions for out-of-order execution by the functional units. The register file includes a set of temporary data registers that are utilized by the instruction execution control unit to receive data results generated by the functional units. The data results of each executed instruction are stored in the temporary data registers until all prior instructions have been executed, thereby retiring the executed instructions in-order.

REFERENCES:
patent: 3346851 (1967-10-01), Thornton et al.
patent: 3771138 (1973-11-01), Celtruda et al.
patent: 3789365 (1974-01-01), Jen et al.
patent: 4034349 (1977-07-01), Monaco et al.
patent: 4200927 (1980-04-01), Hughes et al.
patent: 4228495 (1980-10-01), Bernhard et al.
patent: 4296470 (1981-10-01), Fairchild et al.
patent: 4315314 (1982-02-01), Russo
patent: 4410939 (1983-10-01), Kawakami
patent: 4434461 (1984-02-01), Puhl
patent: 4459657 (1984-07-01), Murao
patent: 4476525 (1984-10-01), Ishii
patent: 4626989 (1986-12-01), Torii
patent: 4675806 (1987-06-01), Uchida
patent: 4714994 (1987-12-01), Oklobdzija et al.
patent: 4722049 (1988-01-01), Lahti
patent: 4752873 (1988-06-01), Shonai et al.
patent: 4758948 (1988-07-01), May et al.
patent: 4766566 (1988-08-01), Chuang
patent: 4807115 (1989-02-01), Torng
patent: 4858105 (1989-08-01), Kuriyama et al.
patent: 4897810 (1990-01-01), Nix
patent: 4901228 (1990-02-01), Kodama
patent: 4903196 (1990-02-01), Pomerene et al.
patent: 4924376 (1990-05-01), Ooi
patent: 4926323 (1990-05-01), Baror et al.
patent: 4942525 (1990-07-01), Shintani et al.
patent: 4985825 (1991-01-01), Webb, Jr. et al.
patent: 4992938 (1991-02-01), Coke et al.
patent: 5003462 (1991-03-01), Blaner et al.
patent: 5101341 (1992-03-01), Circella et al.
patent: 5127091 (1992-06-01), Horst
patent: 5226126 (1993-07-01), McFarland et al.
patent: 5226170 (1993-07-01), Rubinfeld
patent: 5230068 (1993-07-01), Van Dyke et al.
patent: 5355460 (1994-10-01), Eickenmeyer et al.
patent: 5442757 (1995-08-01), McFarland et al.
patent: 5487156 (1996-01-01), Popescu et al.
patent: 5539911 (1996-07-01), Nguyen et al.
patent: 5561776 (1996-10-01), Popescu et al.
patent: 5574927 (1996-11-01), Scantlin
patent: 5592636 (1997-01-01), Popescu et al.
patent: 5625837 (1997-04-01), Popescu et al.
patent: 5627983 (1997-05-01), Popescu et al.
patent: 5651125 (1997-07-01), Witt et al.
patent: 5689720 (1997-11-01), Nguyen et al.
patent: 5708841 (1998-01-01), Popescu et al.
patent: 5768575 (1998-06-01), McFarland et al.
patent: 5778210 (1998-07-01), Henstrom et al.
patent: 5797025 (1998-08-01), Popescu et al.
patent: 5832205 (1998-11-01), Kelly et al.
patent: 5832293 (1998-11-01), Popescu et al.
patent: 5961629 (1999-10-01), Nguyen et al.
Bakoglu et al., The IBM RISC System/6000 Processor Hardware Overview, IBM J. Res. Develop. vol. 34 No. 1, Jan. 1990, pp. 12-22.
Oehler et al., IBM RISC System/6000 Processor Architecture, IBM J. Res. Develop. vol. 34 No. 1, Jan. 1990, pp. 23-36.
Grohoski, Machine Organization of the IBM RISC System/6000 Processor, IBM J. Res. Develop. vol. 34 No. 1, Jan. 1990, pp. 37-58.
Montoye et al., Design of the IBM RISC System/6000 Floating-Point Execution Unit, IBM J. Res. Develop. vol. 34 No. 1, Jan. 1990, pp. 59-70.
8-Bit Embedded Controller Handbook, Intel, 1989, pp. 1-1-1-19 and 3-1-3-37.
Acosta, Raymond D. et al., "An Instruction Issuing Approach to Enhancing Performance in Multiple Functional Unit Processors," IEEE Transactions On Computers, vol. C-35, No. 9, Sep. 1986, pp. 815-828.
Agerwala et al., "High Performance Reduced Instruction Set Processors," IBM Research Division, Mar. 31, 1987, pp. 1-61.
Aiken, A. and Nicolau, A., "Perfect Pipelining: A New Loop Parallelization Technique*," pp. 221-235.
Andrews, Distinctions Blur Between DSP Solutions, Computer Design, vol. 28, No. 9, May 1, 1989, Littleton, Mass., pp. 86-99.
Charlesworth, A.E., "An Approach to Scientific Array Processing: The Architectural Design of the AP-120B/FPS-164 Family," Computer, vol. 14, Sep. 1981, pp. 18-27.
Colwell et al., "A VLIW Architecture for a Trace Scheduling Compiler," Proceedings of the 2nd International Conference on Architectural Support for Programming Languages and Operating Systems, Oct. 1987, pp. 180-192.
Colwell et al., A VLIW Architecture for a Trace Scheduling Compiler, Proceedings of the 2nd International Conference on Architectural Support for Programming Languages and Operating Systems, Oct. 5-8, 1987, California.
Foster et al., "Percolation of Code to Enhance Parallel Dispatching and Execution," IEEE Trans. On Computers, Dec. 1971, pp. 1411-1415.
Goodman, J.R. and Hsu, W., "Code Scheduling and Register Allocation in Large Basic Blocks," ACM, 1988, pp. 442-452.
Gross et al., "Optimizing Delayed Branches," Proceedings of the 5th Annual Workshop on Microprogramming, Oct. 5-7, 1982, pp. 114-120.
Groves, R.D. and Oehler, R., "An IBM Second Generation RISC Processor Architecture," IEEE, 1989, pp. 134-137.
H. B. Bakoglu et al., "IBM Second-Generation RISC Machine Organization", 1990 IEEE, pp. 173-178.
H. Nakano et al., "A 80 Mflops 64-bit Microprocessor for Parallel Computer", 1991 IEEE, pp. 15.2.1-15.2.4.
Hennessy et al., Computer Architecture: A Quantitative Approach, Morgan Kaufmann Publishers, Inc., 1990 (in its entirety).
Horst, R.W. et al., "Multiple Instruction Issue in the NonStop Cyclone Processor," IEEE, 1990, pp. 216-226.
Hwu et al., "HPSm, a High Performance Restricted Data Flow Architecture Having Minimal Functionality,"Proceedings from ISCA-13, Tokyo, Japan, Jun. 2-5, 1986, pp. 297-306.
Hwu et al., "Checkpoint Repair for High-Performance Out-of-Order Execution Machines," IEEE Trans. On Computers, vol. C-36, No. 12, Dec. 1987, pp. 1496-1514.
Hwu et al., "Exploiting Parallel Microprocessor Microarchitectures with a Compiler Code Generator," Proceedings of the 15th Annual Symposium on Computer Architecture, Jun. 1988, pp. 45-53.
IBM Technical Disclosure Bulletin, Overlap of Store Multiple Operation With Succeeding Operations Through Second Set of General Purpose Registers, vol. 28, No. 6, Nov. 1989, New York, NY, pp. 2727-2728.
Jelemensky, New Microcomputer Features Advanced Hardware For Real-Time Control Applications, Electro Conference Record, vol. 14, Apr. 11, 1989, Los Angeles, CA, pp. 511-519.
Johnson, William M., Super-Scalar Processor Design, (Dissertation), Copyright 1989, 134 pages.
Johnson, Superscalar Microprocessor Design, Prentice-Hall, Inc., 1991 (in its entirety).
Jouppi, N.H., "Integration and Packaging Plateaus of Processor Performance," IEEE, 1989, pp. 229-232.
Jouppi, N.P., "The Nonuniform Distribution of Instruction-Level and Machine Parallelism and Its Effect on Performance," IEEE Transactions on Computers, vol. 38, No. 12, Dec. 1989, pp. 1645-1658.
Jouppi et al., "Available Instruction-Level Parallelism for Superscalar and Superpipelined Machines," Proceedings of the 3rd International Conference on Architectural Support for Programming Languages and Operating Systems, Apr. 1989, pp. 272-282.
Keller, R.M., "Look-Ahead Processors," Computing Surveys, vol. 7, No. 4, Dec. 1975, pp. 177-195.
Lam, M.S., "Instruction Scheduling For Superscalar Architectures," Annu. Rev. Comput. Sci., vol. 4, 1990, pp. 173-201.
Lee, Ruby B., "Precision Architecture," COMPUTER, Jan. 1989, pp. 78-91.
Melear, C., Motorola, Inc., The Design of the 88000 RISC Family, IEEE MICRO, vol. 9, No. 2, Apr. 1989, Los Alamitos, CA, pp. 26-38.
Murakami, K. et al., "SIMP (Single Instruction stream/Multiple instruction Pipelining): A Novel High-Speed Single-Processor Architecture," ACM, 1989, pp. 78-85.
Odnert, D. et al., "Architecture and Compiler Enhancements for PA-RISC Workstatio

LandOfFree

Say what you really think

Search LandOfFree.com for the USA inventors and patents. Rate them and share your experience with other people.

Rating

High-performance, superscalar-based computer system with out-of- does not yet have a rating. At this time, there are no reviews or comments for this patent.

If you have personal experience with High-performance, superscalar-based computer system with out-of-, we encourage you to share that experience with our LandOfFree.com community. Your opinion is very important and High-performance, superscalar-based computer system with out-of- will most certainly appreciate the feedback.

Rate now

     

Profile ID: LFUS-PAI-O-1160502

  Search
All data on this website is collected from public sources. Our data reflects the most accurate information available at the time of publication.